英码国产高配边缘计算盒子上市!搭载TPU处理器BM1684X,适配麒麟系统,支持OTA升级!

news/2024/5/19 14:23:43 标签: 边缘计算, 人工智能

随着人工智能技术不断深入实际应用场景,加速各行各业场景应用落地,边缘计算的重要性越发凸显。相较于传统的集中式云计算,边缘计算在距离数据源或用户更近的地方提供计算能力,不仅满足了对实时性要求较高的场景应用需求,还节省了带宽、开发和运维成本。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

多年来,英码科技一直专注于深入挖掘边缘计算领域的潜力和需求,致力于国产边缘计算的产品创新和技术实践,面向不同行业推出了不同层次算力、多平台、易部署、高可靠性的国产化边缘算力产品。

为应对算力需求的爆发式增长,有效承载智能视频分析所需的高密度计算负载,赋予边缘AI视频分析更卓越的性能,英码重磅推出基于算能第四代AI处理器BM1684X的边缘计算盒子——IVP03X智能工作站,具有高算力、编解码能力强、适配国产系统等特点,同时可提供英码自研的0代码算法移植工具链服务,满足多行业的智算和智控应用需求。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

英码科技IVP03X

性能翻番,更稳定可靠

搭载新一代AI处理器BM1684X

英码IVP03X智能工作站搭载算能全新一代AI处理器BM1684X,8核ARM Cortex-A53,主频2.3GHz;INT8算力高达32Tops,FP16算力达16 TFLOPS,FP32算力 2 TFLOPS,边缘端少有的大算力;支持32路H.264 & H.265 的实时解码和12路H.264 & H.265编码,可同时处理分析16路高清视频。相较上一代BM1684系列的边缘计算盒子,英码IVP03X整机性能有很大的提升,针对边缘端不同应用场景的模型训练和推理有更流畅、高效的应用体验。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

国产替代、更易维护

在市场和政策的双向推动下,国产边缘计算产品需求越来越大;IVP03X作为同类产品中首款支持FP16浮点运算的国产智能工作站,也是首款支持OTA升级功能的国产边缘计算盒子,满足大多数边缘推理应用的同时,能有效降低运营和维护成本。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

接口丰富,超宽温设计

IVP03X设计了USB3.0、USB2.0、HDMI、以太网、M.2(SATA)等十多种物联网应用外设接口,同时支持4G/5G/WIFI、mSATA扩展,可为边缘端应用的实现提供更便捷的链接支撑和满足更大量数据存储的需求;另外,IVP03X采用亚工业级宽温设计,支持-40℃~70℃(典型-20℃~60℃)严苛环境下稳定运行,其稳定性、可靠性更优异。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

精工设计,充分利旧

相比市面上的同类产品,IVP03X智能工作站整机所有的接口均采用全内嵌设计,机身四周平整无凸起配件,对不同部署环境的安装和使用都更友好,更利于设备的运维和稳定运行。此外,IVP03X智能工作站支持利旧原有设备,即插即用,部署更快速、便捷。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

成熟、易用的技术支持服务

英码IVP03X智能工作站适配Caffe/TensorFlow/MxNet/PyTorch/ONNX/Paddle飞桨等主流深度学习框架,是行业内少数能同时兼容国内外深度学习框架的边缘计算设备之一;支持 Docker 容器化部署和 Python 开发环境。提供深度学习开发工具包,包括底层库(ffmpeg、opencv等)、推理部署工具、等一系列软件工具,涵盖了神经网络推理阶段所需的模型优化、高效运行时支持等能力;提供开源的pipeline 开发工具案例,集成拉流、解码、推理分析、推流等全数据流程模块。

编辑切换为居中

添加图片注释,不超过 140 字(可选)

除此之外,英码还特别提供一键式0代码移植工具链,在不触碰客户模型文件的前提下,实现算法从GPU到XPU的一键移植,最快3天实现算法适配,可以大大缩短企业的开发周期和节省沟通成本,也降低了客户的试错成本,快、易、省赋能AI应用落地。

6大优势突出,领跑同类产品

编辑切换为居中

添加图片注释,不超过 140 字(可选)

英码IVP03X智能工作站可广泛应用于智慧城市、智慧安防、智慧社区以及智慧交通等领域的AI智能视频分析和轻量级的模型训练,除产品的硬实力外,英码具备稳定的供货能力,为客户项目快速落地提供重要的保障。


http://www.niftyadmin.cn/n/4929979.html

相关文章

程序员怎么利用ChatGPT解放双手=摸鱼?

目录 1. 当你遇到问题时为你生成代码ChatGPT 最明显的用途是根据查询编写代码。我们都会遇到不知道如何完成任务的情况,而这正是人工智能可以派上用场的时候。例如,假设我不知道如何使用 Python 编写 IP 修改器,只需查询 AI,它就…

【论文简介】PP-OCRv1-v4中文字符识别论文概述

相关论文 2009.PP-OCR: A Practical Ultra Lightweight OCR System 2109.PP-OCRv2: Bag of Tricks for Ultra Lightweight OCR System 2206.PP-OCRv3: More Attempts for the Improvement of Ultra Lightweight OCR System 2308.PP-OCRv4:目前代码已发布&#xff08…

使用C#加载TOOLBLOCK

前言 因为Vpp文件类型包含了以下三种 QuickBuidJobToolBlock 不同类型的打开方式不同,需要提前知道vpp是什么类型 例如 这个TB.vpp文件是TOOLBLOCK,就不能直接在visionpro中打开(直接打开需要QuickBuid文件), 可以…

开发者须知,App和小程序须备案,否则面临清退!

备案并不陌生,前端开发的老铁肯定熟悉,若你的网站未备案情况下,在国内的服务器是无法访问的,所谓备案,通常指的就是ICP备案,需要把你经营的信息,给相关部门进行报备,简而言之&#x…

Talk | ICCV‘23清华大学刘世隆:From Detection to Grounding-迈向更强的开集目标检测

本期为TechBeat人工智能社区第521期线上Talk! 北京时间8月10日(周四)20:00,清华大学博士生—刘世隆的Talk已准时在TechBeat人工智能社区开播! 他与大家分享的主题是: “From Detection to Grounding-迈向更强的开集目标检测”,他分…

Spring Boot通过切面实现方法耗时情况

Spring Boot通过切面实现方法耗时情况 依赖 <dependency><groupId>org.aspectj</groupId><artifactId>aspectjweaver</artifactId><version>1.9.9.1</version></dependency>自定义注解 package com.geekmice.springbootself…

音视频 vs2017配置FFmpeg

vs2017 ffmpeg4.2.1 一、首先我把FFmpeg整理了一下&#xff0c;放在C盘 二、新建空项目 三、添加main.cpp #include<stdio.h> #include<iostream>extern "C" { #include "libavcodec/avcodec.h"; }int main() {printf("%s", avco…

5. CANopen网络诊断工具和方法

文章五&#xff1a;CANopen网络诊断与安全性 最近开始学习CANOpen相关的知识&#xff0c;借助于AI助手工具&#xff0c;学习事半功倍。欢迎访问&#xff1a;http://airight.fun/。 本人学习canopen过程中珍藏了一下资料&#xff0c;分享出来与大家学习参考。 链接&#xff1a…